Display Week 2010 Review and Market Outlook: Can OLED Displays Make the Move from the Mobile Phone to the TV?

Recent announcements of advanced-generation active-matrix OLED production are creating the momentum needed to start the transition to large panels for the TV market, but manufacturing challenges remain in scaling up substrate size and driving down costs.

by Paul Semenza

THIS WAS A YEAR in which OLED displays – at least the larger ones – were conspicuously absent at Display Week. Certainly, there was plenty of OLED tech-nology, with over 10 Symposium sessions dedicated to AMOLEDs and OLED devices, materials, manufacturing, lighting, and more. And OLED companies were on the display floor. (See the sidebar from Information Display roving reporter Mike Moyer). But what were not there (with an exception or two) were the OLED TVs that had been on display just a few months earlier at the Consumer Electronics Show in Las Vegas, a situation even more puzzling in light of a confident keynote address delivered at Display Week by Dr. Sang-Soo Kim from Samsung Mobile Displays. The talk was titled, "The Next Big Thing In Displays," and Kim predicted that Samsung Mobile Display would ship 45-million small–to–medium-sized OLED displays by the end of 2010 and that OLED TV will become the mainstream display technology for TVs by 2015. So, it was a little disappointing that we did not get to see lots of big, beautiful OLED TVs on the exhibit floor in Seattle. Information Display asked veteran-market-analyst Paul Semenza for his take on the OLED situation. The answer, in short, involves manufacturing challenges. Read on. — Editors

 

After decades of development, organic light-emitting-diode (OLED) displays are on the verge of becoming a billion-dollar business. In 2009, OLED revenues reached $826 million, up 35% over 2008. DisplaySearch expects continued momentum in 2010, with growth forecasted at 47%, resulting in revenues of more than $1.2 billion. Active-matrix OLED (AMOLED) revenues overtook those of passive-matrix OLEDs (PMOLEDs) in the first quarter of 2009. For the year as a whole, AMOLED shipments passed 22 million, triple that of 2008.

Nearly three-quarters of OLED shipments are used for mobile-phone displays; for AMOLEDs, the share is approximately 90%. Demand for smart phones has driven growth, partially due to Samsung's promotion of AMOLED smart phones. AMOLEDs were also adopted by Nokia in 2009.

While AMOLED growth is due to mobile phones, shipments of PMOLEDs, mainly for mobile-phone sub-displays, are declining as the market shifts to smart phones. During this transition from passive to active matrix, total shipments have been flat, as the growth in AMOLEDs was cancelled out by the decline in PMOLEDs, although quarterly revenues have doubled (Fig. 1).

 

Fig_1

Fig. 1: As the market has shifted from active- to passive-matrix OLEDs, revenues have increased while shipments have remained flat. Source: DisplaySearch Quarterly OLED Shipment and Forecast Report.

 

In 2010, TVs will represent less than 1% of revenues for OLEDs, but going forward, television is the key driver for investments in AMOLEDs. DisplaySearch forecasts that TV will pass 10% of the revenues in 2013 and 30% in 2016. In order to reach – or exceed – these forecasts, there will have to be a significant expansion in AMOLED manufacturing that enables the mass manufacture of large panels while driving down manufacturing cost.

OLED Production: Dominated by One Supplier

The OLED market has undergone consolidation recently, with Eastman Kodak Co. selling its OLED display business to the LG Group, and InnoLux acquiring Chi Mei and TPO (itself the product of a merger between Toppoly Optoelectronics and Philips Mobile Displays), both with OLED businesses. But the most important factor has been the emergence of Samsung Mobile Display (SMD), a joint venture between the OLED business of Samsung SDI and the mobile-display segment of Samsung LCD, which started operations officially at the beginning of 2009.

Samsung has held the lead in revenues since 2007, driven by its dominance in AMOLED production. In 2009, SMD accounted for 75% of revenues and 44% of units shipped; for AMOLEDs, the company had a 98% share in units and revenues. RiTdisplay, which focuses on PMOLEDs, had a 22% share in units and a 13% share in revenues in 2009. TDK was third in unit shipments and Pioneer was third in revenues. A key question for the industry is whether there will be strong competitors to SMD in AMOLEDs, as this tends to speed development, drive down costs, and increase adoption – customers feel more comfortable with multiple suppliers.

While Japanese companies had the early lead in OLED development, and specifically AMOLED production, they have been passed by SMD and LG Display (which now has responsibility for OLEDs in the LG Group), as well as Chi Mei EL (CMEL) in Taiwan, and perhaps Chinese firms in the future. Sanyo's joint venture with Kodak failed; Sony is re-setting its OLED strategy, ceasing production of its XEL-1 TV; and Toshiba never made the move to AMOLEDs.

CMEL has been the main AMOLED supplier in Taiwan. Its focus has been on medium-sized consumer and industrial applications rather than mobile phones. TPO has studied AMOLEDs for a long time and its LTPS technology can be applied to both TFT-LCDs and AMOLEDs. CMEL and TPO are now part of Chi Mei Innolux, the product of a merger of InnoLux and Chi Mei Optoelectronics. AUO shipped AMOLEDs with LTPS backplanes in 2005-2006 and is expected to re-launch its AMOLED business in 2010–2011.

In China, Shanghai Tianma broke ground on the first Gen 4.5 AMOLED line in January. It is expected to start mass production in June, 2012. Truly has been shipping PMOLEDs in small volumes for a few years. Visionox has also demonstrated AMOLEDs. Other Chinese companies that have discussed AMOLED production plans include Zhongxian Technologies, IRICO Fushun, and Changhong Electric; TCL and BOE also have OLED development programs.

Making the Move to TV

While TV-class AMOLEDs have been in development for several years, this year has seen a resurgence in activity. At CES in January, Samsung showed 14- and 30-in. AMOLED TVs (Samsung also demonstrated a 14-in. transparent AMOLED). The 30-in. AMOLEDs were full-high-definition (1980 x 1080) and 240 Hz using active-shutter-glass 3-D technology. LG Electronics released its 15-in. AMOLED TV in Korea in January, at a price of about US$2600. The pixel format is 1366 x 768 and the thickness is 3.2 mm. Meanwhile, Sony stopped selling its AMOLED TV, but sought to demonstrate its technology leadership by showcasing 24.5-in. 3-D AMOLED displays at CES.

These AMOLED-TV demonstrations have indicated the tremendous potential of the technology, showing outstanding colors, black levels, and switching speed, enabling excellent 3-D performance. But, partially in response to the performance of AMOLEDs, TFT-LCDs have greatly improved. With LED-backlit 240-Hz panels featuring greatly improved image quality, contrast, and wide viewing angles, in thicknesses less than 10 mm, the theoretical performance gap between TFT-LCDs and AMOLEDs continues to narrow.1

To achieve broad adoption of AMOLEDs in the TV market, cost reduction will be more important than performance improvements. Since AMOLEDs are simpler to manufacture than TFT-LCDs, having no backlight and color filter, and potentially no top glass, yielded costs could be only a fraction of that of TFT-LCDs. But high unit costs for materials, low manufacturing yields, and challenges in developing new manufacturing equipment and processes mean that even coming close to theoretical costs is very difficult. Meanwhile, TFT-LCD costs continue to fall. Thus, 32-in. TFT-LCD prices are forecast to be less than $150 in 2013, while 32-in. AMOLED prices will be more than $350 (Fig. 2).

 

Fig_2

Fig. 2: The cost and price of TFT-LCD module are a fraction of equivalent AMOLED modules, though the gap will narrow with volume manufacturing of Gen 5+ AMOLED fabs. Source: DisplaySearch Quarterly Worldwide FPD Shipment and Forecast Report and Quarterly Large-Area TFT-LCD Cost Report.

 

In order to compete with TFT-LCDs in the TV market, AMOLED production must expand past the current Gen 4 fabs. There have been indications since 2009 that SMD and LG Display will invest in Gen 5 or larger AMOLED fabs. In April 2010, LG invested $226 million to triple its OLED capacity. In May 2010, Samsung Mobile Display announced that it will invest KRW 2.5 trillion (approx US$2 billion) to establish a Gen 5.5 (1300 x 1500 mm) AMOLED line with an ultimate capacity of 70,000 panels per month. This factory is likely to be used to make a range of panel sizes: mainly small–to– medium-sized panels similar to those being currently made on a Gen 4 line, as well as larger panels, including some 6-up 32-in. panels and potentially 2-up 55-in. panels.

Paving the Way to Gen 5+ AMOLED Manufacturing

The key challenges for scaling up to larger substrate sizes involve the TFT array, which requires higher performance than devices used for LCDs, and the deposition process for the organic materials. OLEDs require high mobility and tight voltage uniformity for current-driven pixels, currently achieved by adopting an LTPS-based active matrix. The organic devices themselves must utilize low-defect small-pixel uniform organic light-emitting-material deposition, currently achieved by evaporation through a fine metal mask. There are a variety of manufacturing technologies that can enable AMOLED scaling to larger glass sizes.2

Currently, most AMOLEDs are produced on LTPS backplanes using excimer-laser annealing (ELA). It is assumed that SMD and other AMOLED makers are considering using ELA or metal-induced solid-phase crystallization plus vertical evaporation. However, there is no Gen 5+ LTPS TFT equipment readily available yet. Alternative TFT-array technologies include oxide TFTs, demonstrated by both SMD and LG Display, and a-Si TFTs with a dual-plate structure (in which the OLED material is deposited on the top glass and the TFT array on the bottom glass), under development by LG Display. For SMD's Gen 5.5 line, LTPS backplanes may be scaled with new tools that could incorporate extended line-beam ELA, high-power ion doping, and large-sized thermal process activation.

Vacuum deposition equipment for OLEDs currently allows only half-Gen-4-sized substrates to be coated. After the full 730 x 920-mm LTPS TFT array is completed, it is cut in half to fit into the vacuum coating chamber to be coated with organic materials. There are several deposition methods under development, including laser-induced thermal imaging (LITI)), nozzle printing, and ink-jet printing. LITI was developed by SMD and 3M, and SMD has a Gen 4 LITI pilot line. DuPont has developed a nozzle-printing process, using equipment developed with DaiNippon Screen. A new approach from Kateeva modifies ink-jet printing by using inks that can be heated by a micro-dryer (called a thermal jet), located between the ink-jet nozzles and the substrate; the heating dries the inks and then vaporizes them so they can be deposited onto the substrate. For SMD's Gen 5.5 line, organic material deposition may be achieved with a line source and evaporation through vertically oriented fine metal masks on full substrates.

SMD has positioned the Gen 5.5 fab as a first step to volume manufacturing of large TV panels on Gen 8. Since novel technologies such as oxide TFTs and ink-jet printing will take time to develop, SMD has suggested a short cut to Gen 8.3 Rather than simultaneously crystallizing an entire substrate, SMD proposed simply mounting currently available 730-mm line-beam optics on a Gen 8 stage and crystallizing the silicon on the large-sized glass in three passes. Typically, this is not a preferred approach because it is very difficult to fabricate a single display over the edge where two ELA scans meet, due to crystallization non-uniformities. But with the multi-scan approach, 6-up 55-in. panels can still be patterned within the boundaries of the scan, and then the finished substrates can be scribed into 55-in. cells for back-end processing.

This approach could enable large-sized AMOLED TV production at Gen 8 while reducing risks associated with novel new equipment/process introduction and also lowering costs with multiple panels per substrate. However, it may limit the number and size of panels produced to ones that fall within the single-pass crystallization zone. Also, the back-end process presumably would still use single-panel evaporation, which does not take full advantage of the large substrate's potential economies of scale.

It may be possible for AMOLED makers to exploit the inherent characteristics of the technology for higher performance. For example, compared to that of TFT-LCDs, AMOLEDs have fast response and true on/off emission, which can be important for 3-D operation. SMD has developed a new frame-by-frame driving scheme that it calls simultaneous emission with active voltage control (SEAV) to take advantage of these characteristics.3 Most 3-D displays use progressive scanning, in which rows are turned on one after the other. In order to prevent left-right cross talk, either blanking or increased refresh rates are needed; these either reduce brightness or create response-time limitations. The use of SEAV avoids these problems by turning on all the pixels during the emission step and turning them off during the other steps, during which the active shutter glasses are switched. With this frame-by-frame driving, the left image is shown, the pixel is turned off, and the right image is shown and then turned off, for a 240-Hz cycle, providing distinct L/R images without cross talk. The SEAV pixel design is also simpler than in typical AMOLEDs, leading to longer lifetimes, improved yields, simplified peripheral circuits, greater driving margin, and reduced performance demand on the TFT array.

AMOLED Moving Toward TV, but Chasing a Moving Target

The significance of SMD's investment in Gen 5.5, and its indication that it plans to move on to Gen 8, is hard to determine at this time. However, it is very likely that SMD's competitors will follow closely, either with similar substrate sizes or perhaps even trying to leapfrog to larger sizes. Either way, this could be the first step in breaking the stalemate in Gen 5+ AMOLED equipment manufacturing, in which it has been too risky to be the first to develop a new piece of equipment – or the first panel maker to order one. It is likely that there will be a significant increase in momentum for large-area AMOLED manufacturing. However, the path to Gen 8 AMOLED manufacturing is still not clear, and a new wave of Gen 8 TFT-LCD manufacturing investments is on the horizon. Driven by the Chinese market; this is likely to increase the competitiveness of TFT-LCDs in the TV market, mostly driven by price competition.

References

1For a discussion of TFT-LCD image quality and other performance improvements, see P. Semenza, "Improvements in TFT-LCD Performance: Better Pictures, Thinner, and Lower Power," Information Display 26, No. 9 (September 2010) (to be published).

2H. D. Kim, H.J. Kim, B.H. Berkeley, and S.S. Kim, "Emerging Technologies for the Commercialization of AMOLED TVs," Information Display 25, No. 9 (September 2009).

3S-S. Kim, "The Next Big Thing In Displays," keynote presentation at SID 2010, Seattle, May 25, 2010. •

 

OLEDs at Display Week

LG was the only manufacturer demonstrating a sizable OLED display at Display Week. This was a 15-in. RGB unit showing clear, bright imagery. There was also a distributor demonstrating a 7-in. OLED from Chimei, though the panel had been discontinued. The Chimei-Innolux Web site claims the company sees "great potential" in OLEDs and that the main market will be high-end mobile-phone and smart-phone sectors. But while the manufacturers of larger OLED displays (Samsung, etc.) seem to be holding back just now, there were several other OLED products to be seen at the show.

Among these were products from eMagin Corp., which had an impressive demonstration of OLED-on-silicon microdisplays, including SXGA units. Novaled also had a strong showing of OLEDs for solid-state lighting, with new materials including white top-emitting OLEDs with increased lifetime and power efficiency. UDC had a new light-blue phosphorescent material suitable for both solid-state lighting and displays, which the company claims has significant performance gains and a longer lifetime compared to previous generations of its light-blue PHOLED technology.

DuPont was also on hand to show off its printed OLED technology, which includes a set of solution-based OLED materials that can be printed and coated at high speed using a new process. According to the company, the fabrication of AMOLED displays by solution process reduces material consumption and lowers equipment costs compared to incumbent vapor-deposition processes.

One other interesting development at the show was a paper from Sony on a rollable AMOLED display driven by OTFTs. According to the company, this display is rollable to a radius of less than 4 mm.

– Michael Moyer

 


Paul Semenza is Senior Vice President, Analyst Services, with DisplaySearch. He can be reached at paul.semenza@npd.com.